STM32—看门狗详解入门(独立看门狗、窗口看门狗)

这篇具有很好参考价值的文章主要介绍了STM32—看门狗详解入门(独立看门狗、窗口看门狗)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一、什么是看门狗?

二、独立看门狗IWDG

1.特点、本质及原理

2.配置

3.main.c代码

三、窗口看门狗WWDG

1.特点、本质及原理

2.配置

3.main.c代码

四、独立看门狗与窗口看门狗的比较


一、什么是看门狗?

        看门狗主要是用来监测单片机运行状态和解决程序引起的故障的模块。

         独立看门狗:

        由于单片机的工作会受到外界的干扰,可能造成程序进入死循环或者程序跑飞,程序的正常运行被打断,单片机控制的系统无法继续工作,会造成整个系统的陷入停滞状态。为了防止进入这个情况便产生了一种专门用于实时监测单片机程序运行状态的模块或者芯片,俗称“看门狗”。

        窗口看门狗:

        用于监测单片机程序运行时效是否精准,主要检测软件异常。

二、独立看门狗IWDG

1.特点、本质及原理

        1.特点:工作在主程序之外,能够完全独立工作,它的时钟是专用的低速时钟(LSI),由 VDD 电压供电, 在停止模式和待机模式下仍能工作,主要检测硬件的正常运行。

        2.本质:1.是一个 12 位的递减计数器,当计数器的值从某个值一直减到0的时候,系统就会产生一个复位信号,即 IWDG_RESET ,CPU收到复位信号,系统复位重新运行。

                   2.在计数没减到0之前,重置了计数器的值的话,那么就不会产生复位信号,CPU收不到复位信号,系统就会正常运行不会复位,这个动作就是我们说的喂狗。

        3.原理框图:

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

        4.时钟:独立看门狗的时钟由独立的RC振荡器LSI提供,即使主时钟发生故障它依然可以运行。启用 IWDG后,LSI时钟会自动开启,无法停止可以重置。LSI经过一个8位的预分频器得到计数器时钟,LSI时钟频率并不精确,F1用40kHz。

        5.预分频寄存器(IWDG_PR):

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

              (1)分频系数算法:stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件prer是IWDG_PR 的值。

        6.重装载寄存器

        重装载寄存器是一个12位的寄存器,用于存放重装载值,低12位有效,即最大值为4096,这个值的大小决定着独立看门狗的溢出时间。       

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         7.键寄存器

        是一个控制寄存器,主要有三种控制方式。由位15:0决定.

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

        8. 溢出时间计算公式

                PSC是分频系数、RLR、stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件是LSI时钟频率一般用40KHz。

                                        stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

2.配置

        实现:溢出时间1s,按钮喂狗,串口查看状态

        1.独立看门狗配置,设置溢出时间1s。PSC=64、RLR=625

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

        2.按钮PA0 GPIO设置为输入input。

        3.串口USART1打开,异步通讯(非中断) 

        4.时钟72KHz

3.main.c代码

/* USER CODE BEGIN Header */
/**
  ******************************************************************************
  * @file           : main.c
  * @brief          : Main program body
  ******************************************************************************
  * @attention
  *
  * Copyright (c) 2023 STMicroelectronics.
  * All rights reserved.
  *
  * This software is licensed under terms that can be found in the LICENSE file
  * in the root directory of this software component.
  * If no LICENSE file comes with this software, it is provided AS-IS.
  *
  ******************************************************************************
  */
/* USER CODE END Header */
/* Includes ------------------------------------------------------------------*/
#include "main.h"
#include "iwdg.h"
#include "usart.h"
#include "gpio.h"

/* Private includes ----------------------------------------------------------*/
/* USER CODE BEGIN Includes */

#include <string.h>//strlen所需头文件============================================
/* USER CODE END Includes */

/* Private typedef -----------------------------------------------------------*/
/* USER CODE BEGIN PTD */

/* USER CODE END PTD */

/* Private define ------------------------------------------------------------*/
/* USER CODE BEGIN PD */
/* USER CODE END PD */

/* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM */

/* USER CODE END PM */

/* Private variables ---------------------------------------------------------*/

/* USER CODE BEGIN PV */

/* USER CODE END PV */

/* Private function prototypes -----------------------------------------------*/
void SystemClock_Config(void);
/* USER CODE BEGIN PFP */

/* USER CODE END PFP */

/* Private user code ---------------------------------------------------------*/
/* USER CODE BEGIN 0 */

/* USER CODE END 0 */

/**
  * @brief  The application entry point.
  * @retval int
  */
int main(void)
{
  /* USER CODE BEGIN 1 */

  /* USER CODE END 1 */

  /* MCU Configuration--------------------------------------------------------*/

  /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
  HAL_Init();

  /* USER CODE BEGIN Init */

  /* USER CODE END Init */

  /* Configure the system clock */
  SystemClock_Config();

  /* USER CODE BEGIN SysInit */

  /* USER CODE END SysInit */

  /* Initialize all configured peripherals */
  MX_GPIO_Init();
  MX_IWDG_Init();
  MX_USART1_UART_Init();
  /* USER CODE BEGIN 2 */
	
	//程序启动就让串口发送信息=========================================================
	HAL_UART_Transmit(&huart1,"程序启动...",strlen("程序启动..."),100);
  /* USER CODE END 2 */

  /* Infinite loop */
  /* USER CODE BEGIN WHILE */
  while (1)
  {
    /* USER CODE END WHILE */
		
		//检测按钮是否被按下==========================================================
	if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_0) == GPIO_PIN_RESET)
			HAL_IWDG_Refresh(&hiwdg);//重置看门狗计数
	HAL_Delay(50);//循环延时50ms

    /* USER CODE BEGIN 3 */
  }
  /* USER CODE END 3 */
}

/**
  * @brief System Clock Configuration
  * @retval None
  */
void SystemClock_Config(void)
{
  RCC_OscInitTypeDef RCC_OscInitStruct = {0};
  RCC_ClkInitTypeDef RCC_ClkInitStruct = {0};

  /** Initializes the RCC Oscillators according to the specified parameters
  * in the RCC_OscInitTypeDef structure.
  */
  RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_LSI|RCC_OSCILLATORTYPE_HSE;
  RCC_OscInitStruct.HSEState = RCC_HSE_ON;
  RCC_OscInitStruct.HSEPredivValue = RCC_HSE_PREDIV_DIV1;
  RCC_OscInitStruct.HSIState = RCC_HSI_ON;
  RCC_OscInitStruct.LSIState = RCC_LSI_ON;
  RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON;
  RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSE;
  RCC_OscInitStruct.PLL.PLLMUL = RCC_PLL_MUL9;
  if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK)
  {
    Error_Handler();
  }

  /** Initializes the CPU, AHB and APB buses clocks
  */
  RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK|RCC_CLOCKTYPE_SYSCLK
                              |RCC_CLOCKTYPE_PCLK1|RCC_CLOCKTYPE_PCLK2;
  RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK;
  RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1;
  RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV2;
  RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1;

  if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_2) != HAL_OK)
  {
    Error_Handler();
  }
}

/* USER CODE BEGIN 4 */

/* USER CODE END 4 */

/**
  * @brief  This function is executed in case of error occurrence.
  * @retval None
  */
void Error_Handler(void)
{
  /* USER CODE BEGIN Error_Handler_Debug */
  /* User can add his own implementation to report the HAL error return state */
  __disable_irq();
  while (1)
  {
  }
  /* USER CODE END Error_Handler_Debug */
}

#ifdef  USE_FULL_ASSERT
/**
  * @brief  Reports the name of the source file and the source line number
  *         where the assert_param error has occurred.
  * @param  file: pointer to the source file name
  * @param  line: assert_param error line source number
  * @retval None
  */
void assert_failed(uint8_t *file, uint32_t line)
{
  /* USER CODE BEGIN 6 */
  /* User can add his own implementation to report the file name and line number,
     ex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) */
  /* USER CODE END 6 */
}
#endif /* USE_FULL_ASSERT */

三、窗口看门狗WWDG

1.特点、本质及原理

        1.特点:用于监测单片机程序运行时效是否精准,主要检测软件异常,一般用于需要精准检测程序运行时间的场合。

        2.本质:是一个能产生系统复位信号提前唤醒中断的6位计数器。

         (1)产生复位条件: 1.当递减计数器值从 0x40 减到 0x3F 时复位(即T6位跳变到0)

                                             2.计数器的值大于 W[6:0] 值时喂狗会复位。

         (2)产生中断条件: 1.当递减计数器等于 0x40 时可产生提前唤醒中断 (EWI)。

         (3)要在窗口期内重装载计数器的值,才能防止复位,也就是所谓的喂狗。

        3.原理 

          (1)窗口上限值:可以修改

          (2)中断:可以设置是否中断

          (3)提前唤醒: 作用:可以在复位之前保存一些数据。        

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         4.框图

                (1)PCLK1最大36M

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         5.控制寄存器(WWDG_CR)

                激活看门狗、计数器

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         6.配置寄存器(WWDG_CFR)

                提前唤醒中断、预分频器、窗口值

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         7.状态寄存器(WWDG_SR)

                提前唤醒中断标志

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         8.超时时间计算

                          stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

            参数:

                (1)Tout是WWDG超时时间(没喂狗)

                (2)Fwwdg是WWDG的时钟源频率(最大36M)

                (3)4096是WWDG固定的预分频系数

                (4)2^WDGTB是WWDG_CFR寄存器设置的预分频系数值 

                (5)T[5:0]是WWDG计数器低6位,最多63 

2.配置

        1.实现:程序启动时点 亮 LED1 ,300ms 后熄灭。在提前唤醒中断服务函数进行喂狗,同时翻转 LED2 状态。

        2.值: T[6.0] 七位计数器设置为 127   

                    W[6:0] 七位窗口值设置 为95

                    2^WDGTB  预分频系数设置 为8

       3.时钟源频率Fwwdg 设置为36(来自RCC时钟控制器)

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         4.WWDG配置

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

 stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件

         5.LDE灯引脚设置  PB8 输出 开始时高电平、PB9 输出 开始时高电平

3.main.c代码

/* USER CODE BEGIN Header */
/**
  ******************************************************************************
  * @file           : main.c
  * @brief          : Main program body
  ******************************************************************************
  * @attention
  *
  * Copyright (c) 2023 STMicroelectronics.
  * All rights reserved.
  *
  * This software is licensed under terms that can be found in the LICENSE file
  * in the root directory of this software component.
  * If no LICENSE file comes with this software, it is provided AS-IS.
  *
  ******************************************************************************
  */
/* USER CODE END Header */
/* Includes ------------------------------------------------------------------*/
#include "main.h"
#include "wwdg.h"
#include "gpio.h"

/* Private includes ----------------------------------------------------------*/
/* USER CODE BEGIN Includes */

/* USER CODE END Includes */

/* Private typedef -----------------------------------------------------------*/
/* USER CODE BEGIN PTD */

/* USER CODE END PTD */

/* Private define ------------------------------------------------------------*/
/* USER CODE BEGIN PD */
/* USER CODE END PD */

/* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM */

/* USER CODE END PM */

/* Private variables ---------------------------------------------------------*/

/* USER CODE BEGIN PV */

/* USER CODE END PV */

/* Private function prototypes -----------------------------------------------*/
void SystemClock_Config(void);
/* USER CODE BEGIN PFP */

/* USER CODE END PFP */

/* Private user code ---------------------------------------------------------*/
/* USER CODE BEGIN 0 */

//提前唤醒中断=============================================================
void HAL_WWDG_EarlyWakeupCallback(WWDG_HandleTypeDef *hwwdg)
{
	HAL_WWDG_Refresh(hwwdg);//喂狗重置计数器
	HAL_GPIO_TogglePin(GPIOB,GPIO_PIN_9);//反转LDE灯

} 
/* USER CODE END 0 */

/**
  * @brief  The application entry point.
  * @retval int
  */
int main(void)
{
  /* USER CODE BEGIN 1 */

  /* USER CODE END 1 */

  /* MCU Configuration--------------------------------------------------------*/

  /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
  HAL_Init();

  /* USER CODE BEGIN Init */

  /* USER CODE END Init */

  /* Configure the system clock */
  SystemClock_Config();

  /* USER CODE BEGIN SysInit */

  /* USER CODE END SysInit */

  /* Initialize all configured peripherals */
  MX_GPIO_Init();
	
	//在狗启动之前点灯,程序启动亮300ms=============================================
	HAL_GPIO_WritePin(GPIOB,GPIO_PIN_8,GPIO_PIN_RESET);
	HAL_Delay(300);
  MX_WWDG_Init();
  /* USER CODE BEGIN 2 */

  /* USER CODE END 2 */

  /* Infinite loop */
  /* USER CODE BEGIN WHILE */
  while (1)
  {
    /* USER CODE END WHILE */
		//关灯===========================-==========================================
		HAL_GPIO_WritePin(GPIOB,GPIO_PIN_8,GPIO_PIN_SET);
		HAL_Delay(40);
    /* USER CODE BEGIN 3 */
  }
  /* USER CODE END 3 */
}

/**
  * @brief System Clock Configuration
  * @retval None
  */
void SystemClock_Config(void)
{
  RCC_OscInitTypeDef RCC_OscInitStruct = {0};
  RCC_ClkInitTypeDef RCC_ClkInitStruct = {0};

  /** Initializes the RCC Oscillators according to the specified parameters
  * in the RCC_OscInitTypeDef structure.
  */
  RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_HSE;
  RCC_OscInitStruct.HSEState = RCC_HSE_ON;
  RCC_OscInitStruct.HSEPredivValue = RCC_HSE_PREDIV_DIV1;
  RCC_OscInitStruct.HSIState = RCC_HSI_ON;
  RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON;
  RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSE;
  RCC_OscInitStruct.PLL.PLLMUL = RCC_PLL_MUL9;
  if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK)
  {
    Error_Handler();
  }

  /** Initializes the CPU, AHB and APB buses clocks
  */
  RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK|RCC_CLOCKTYPE_SYSCLK
                              |RCC_CLOCKTYPE_PCLK1|RCC_CLOCKTYPE_PCLK2;
  RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK;
  RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1;
  RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV2;
  RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1;

  if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_2) != HAL_OK)
  {
    Error_Handler();
  }
}

/* USER CODE BEGIN 4 */

/* USER CODE END 4 */

/**
  * @brief  This function is executed in case of error occurrence.
  * @retval None
  */
void Error_Handler(void)
{
  /* USER CODE BEGIN Error_Handler_Debug */
  /* User can add his own implementation to report the HAL error return state */
  __disable_irq();
  while (1)
  {
  }
  /* USER CODE END Error_Handler_Debug */
}

#ifdef  USE_FULL_ASSERT
/**
  * @brief  Reports the name of the source file and the source line number
  *         where the assert_param error has occurred.
  * @param  file: pointer to the source file name
  * @param  line: assert_param error line source number
  * @retval None
  */
void assert_failed(uint8_t *file, uint32_t line)
{
  /* USER CODE BEGIN 6 */
  /* User can add his own implementation to report the file name and line number,
     ex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) */
  /* USER CODE END 6 */
}
#endif /* USE_FULL_ASSERT */

四、独立看门狗与窗口看门狗的比较

stm32看门狗引脚,STM32,stm32,单片机,嵌入式硬件文章来源地址https://www.toymoban.com/news/detail-618382.html

到了这里,关于STM32—看门狗详解入门(独立看门狗、窗口看门狗)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • STM32F4X 独立看门狗 IWDG

    我们都知道,当一个嵌入式在运行过程中发生死机或者程序跑飞的情况的时候,我们一般都是要手动进行开关机或者复位,让程序能够重新运行。但是当一个嵌入式系统被安装到一些特殊位置时,如果发生了死机又不能及时进行手动复位,这时候就需要看门狗了。看门狗的作

    2024年02月11日
    浏览(11)
  • STM32独立看门狗IWDG和休眠(低功耗)共存那些事儿

    1.寄存器写入标志位方法为主要手段 2.看门狗初始化放在标志位判断后方 3.合理利用单片机复位,标志位复位后不会丢失的特点 4.不同系列单片机寄存器不一样 调试进入断点时不管停留多久,都不会触发看门狗 论坛TM32在休眠模式下怎么使用看门狗? 现在你只有2个办法: 1)使

    2024年02月12日
    浏览(14)
  • STM32-窗口看门狗WWDG剖析与实例

            窗口看门狗(WWDG)通常被用来监测由外部干扰或不可预见的逻辑条件造成的应用程序背离正常的运行序列而产生的软件故障。除非递减计数器的值在 T6 位(WWDG-CR 的第六位)变成 0 前被刷新,看门狗电路在达到预置的时间周期时,会产生一个 MCU 复位。在递减计数

    2024年01月19日
    浏览(19)
  • 【STM32】基础知识 第十六课 窗口看门狗 WWDG 深入浅出

    在嵌入式开发中, 可靠性和稳定性是至关重要的. 这就是为什么许多单片机, 比如 STM32, 提供了窗口看门狗 (Window Watchdog, WWDF) 的功能. WWDG 是一种硬件定时器, 其目的在于防止软件错误导致的系统故障. WWDG 是通过监控软件运行的正常新, 并在检测到异常情况时自动重启系统, 从而

    2024年02月16日
    浏览(9)
  • 【正点原子STM32连载】第十五章 窗口看门狗实验 摘自【正点原子】APM32F407最小系统板使用指南

    1)实验平台:正点原子stm32f103战舰开发板V4 2)平台购买地址:https://detail.tmall.com/item.htm?id=609294757420 3)全套实验源码+手册+视频下载地址: http://www.openedv.com/thread-340252-1-1.html# 本章介绍APM32F407窗口看门狗(WWDT)的使用,窗口看门狗与独立看门狗一样能够帮助CPU在进入错误状

    2024年02月12日
    浏览(15)
  • 单片机STM32看门狗详解(嵌入式学习)

    单片机STM32的看门狗(Watchdog)是一种硬件定时器,用于监控系统的运行状态并在出现故障或死锁时采取措施以恢复正常操作。看门狗的主要功能是定期检查系统是否正常运行,并在系统出现问题时触发复位操作。 STM32系列单片机通常配备了内置的看门狗定时器(通常称为独立

    2024年02月13日
    浏览(13)
  • STM32F103引脚输入输出模式详解

    目录 一:输入模式 1.1:模拟输入 1.2: 浮空输入  1.3:上拉输入  1.4:下拉输入 1.5: 为什么没有复用输入配置模式  二:输出模式 2.1:推挽输出 2.2:开漏输出  2.3:复用推挽输出 2.4:复用开漏输出  1.1:模拟输入 模拟输入(GPIO_Mode_AIN):这种输入方式和浮空输入非常相

    2024年02月05日
    浏览(30)
  • 【IAR工程】STM8S208RB基于ST标准库独立看门狗(IWDG)

    📍相关篇《【IAR工程】STM8S208RB基于ST标准库下GPIO点灯示例》 🌿《【IAR工程】STM8S208RB基于ST标准库下EXTI外部中断》 🌿《【IAR工程】STM8S208RB基于ST标准库蜂鸣器(BEEP)驱动》 🌿《【IAR工程】STM8S208RB基于ST标准库下自动唤醒(AWU)》 🔖基于ST STM8S/A标准外设库:STSW-STM8069,版本号:

    2023年04月08日
    浏览(18)
  • stm32之看门狗

            STM32 有两个看门狗, 独立看门狗 和 窗口看门狗 ,独立看门狗又称宠物狗,窗 口看门狗又称警犬。可用来检测和解决由软件错误引起的故障。两个看门狗的原理都是 当计数器达到给定的超时值时,产生系统复位,对于窗口型看门狗同时会产生一个中断。 独立看

    2024年02月04日
    浏览(21)
  • STM32开发——看门狗

    目录 1.独立看门狗 1.1需求 1.2CubeMX设置  1.3函数代码 2.窗口看门狗 2.1需求 ​2.2WWDG配置: 2.3函数代码  3.独立看门狗和窗口看门狗的异同点 监测单片机程序运行状态的模块或者芯片,俗称“看门狗”(watchdog) 。  独立看门狗本质 本质是一个 12 位的递减计数器,当计数器的值从

    2024年02月10日
    浏览(26)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包