【Verilog刷题篇】硬件工程师从0到入门3|组合逻辑复习+时序逻辑入门

这篇具有很好参考价值的文章主要介绍了【Verilog刷题篇】硬件工程师从0到入门3|组合逻辑复习+时序逻辑入门。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

前言

  • 硬件工程师近年来也开始慢慢吃香,校招进大厂年薪总包不下30-40w的人数一大把!而且大厂人数并没有饱和!
    - 本期是【Verilog刷题篇】硬件工程师从0到入门3|组合逻辑复习+时序逻辑入门,有不懂的地方可以评论进行讨论!
  • 推荐给大家一款刷题、面试的神器,我也是用这一款神器进行学习Verilog硬件代码的!
  • ~链接如下:刷题面试神器跳转链接
  • 也欢迎大家去牛客查看硬件工程师招聘职位的各类资料,并进行提前批投递面试!
  • 小白新手可以通过该神器进行日常的刷题、看大厂面经、学习计算机基础知识、与大牛面对面沟通~ 刷题的图片已经放在下面了~

verilog 刷题,算法小记,fpga开发,面试,javascript

Q1:数据选择器实现逻辑电路

问题描述:请使用此4选1数据选择器和必要的逻辑门实现下列表达式。
L=A∙B+A∙~C+B∙C 数据选择器的逻辑符号如下图:
verilog 刷题,算法小记,fpga开发,面试,javascript
数据选择器代码如下,可在本题答案中添加并例化此数据选择器。

module data_sel(
   input             S0     ,
   input             S1     ,
   input             D0     ,
   input             D1     ,
   input             D2     ,
   input             D3     ,
   
   output wire        Y    
);

assign Y = ~S1 & (~S0&D0 | S0&D1) | S1&(~S0&D2 | S0&D3);
     
endmodule

示例输入:
input A ,
input B ,
input C

示例输出:
output wire L

参考代码:

`timescale 1ns/1ns

module data_sel(
   input             S0     ,
   input             S1     ,
   input             D0     ,
   input             D1     ,
   input             D2     ,
   input             D3     ,
   
   output wire        Y    
);
assign Y = ~S1 & (~S0&D0 | S0&D1) | S1&(~S0&D2 | S0&D3);
     
endmodule

module sel_exp(
   input             A     ,
   input             B     ,
   input             C     ,
   
   output wire       L            
);
    data_sel m1(C,1'b0,A,B,1'b0,1'b0,L);
endmodule

Q2:根据状态转移表实现时序电路

问题描述:某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。
verilog 刷题,算法小记,fpga开发,面试,javascript
电路的接口如下图所示。
verilog 刷题,算法小记,fpga开发,面试,javascript

示例输入:
input A ,
input clk ,
input rst_n

示例输出:
output wire Y

参考代码:

`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output  wire       Y   
);
    reg[1:0]Q;
    initial Q=2'b00;
    always @(posedge clk or negedge rst_n)
        begin
            if(~rst_n)
            begin
                Q<=2'b00;
            end
            else 
            begin
                Q[0]<=~Q[0];
                Q[1]<=(~A)&(Q[1]^Q[0])|A&(~Q[1]^Q[0]);
            end
        end
    assign Y=Q[1]&Q[0]; 
endmodule

Q3:根据状态转移图实现时序电路

问题描述:某同步时序电路的状态转换图如下,→上表示“C/Y”,圆圈内为现态,→指向次态。
请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。
verilog 刷题,算法小记,fpga开发,面试,javascript
电路的接口如下图所示,C是单bit数据输入端。
verilog 刷题,算法小记,fpga开发,面试,javascript

示例输入:
input C ,
input clk ,
input rst_n

示例输出:
output wire Y

参考代码:

`timescale 1ns/1ns

module seq_circuit(
   input                C   ,
   input                clk ,
   input                rst_n,
 
   output   reg        Y   
);
    parameter [1:0] st0 = 2'b00,
                    st1 = 2'b01,
                    st2 = 2'b10,
                    st3 = 2'b11;
    
    reg [1:0] cst,nst;
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n)begin
            cst <= 2'b00;
            nst <= 2'b00;
        end
        else
            cst <= nst;
    end
    always@(*) begin
            case(cst)
                st0:begin
                    nst = (C==1) ? st1 : st0; 
                end
                st1:begin
                    nst = (C==1) ? st1 : st3; 
                end
                st2:begin
                    nst = (C==1) ? st2 : st0; 
                end
                st3:begin
                    nst = (C == 1) ? st2 : st3; 
                end
                default:
                    nst = st0;
            endcase
    end
    always @(*) begin
        if(((cst == st2) && C) || (cst == st3) )
            Y = 1'b1;
        else
            Y = 1'b0;
    end
endmodule

Q4:ROM的简单实现

问题描述:实现一个深度为8,位宽为4bit的ROM,数据初始化为0,2,4,6,8,10,12,14。可以通过输入地址addr,输出相应的数据data。

接口信号图如下:
verilog 刷题,算法小记,fpga开发,面试,javascript

输入描述:
clk:系统时钟
rst_n:异步复位信号,低电平有效
addr:8bit位宽的无符号数,输入到ROM的地址

输出描述:
data:4bit位宽的无符号数,从ROM中读出的数据

参考代码:

`timescale 1ns/1ns
module rom(
	input clk,
	input rst_n,
	input [7:0]addr,
	
	output [3:0]data
);
 
    reg [3:0] romreg[7:0];
    integer i;
    always @ (posedge clk or negedge rst_n)
        begin
            if (~rst_n) begin
                romreg[0]<=4'd0;
                romreg[1]<=4'd2;
                romreg[2]<=4'd4;
                romreg[3]<=4'd6;
                romreg[4]<=4'd8;
                romreg[5]<=4'd10;
                romreg[6]<=4'd12;
                romreg[7]<=4'd14;
            end
            else begin
                for (i=0 ; i<8 ; i=i+1) begin : rom_i
                    romreg[i]<=romreg[i];    //保持不变
                end
            end
        end
                
    assign data = romreg[addr];  //异步输出
endmodule

Q5:边沿检测

问题描述:有一个缓慢变化的1bit信号a,编写一个程序检测a信号的上升沿给出指示信号rise,当a信号出现下降沿时给出指示信号down。
注:rise,down应为单脉冲信号,在相应边沿出现时的下一个时钟为高,之后恢复到0,一直到再一次出现相应的边沿。
verilog 刷题,算法小记,fpga开发,面试,javascript

示例输入:
clk:系统时钟信号
rst_n:异步复位信号,低电平有效
a:单比特信号,作为待检测的信号

示例输出:
rise:单比特信号,当输入信号a出现上升沿时为1,其余时刻为0
down:单比特信号,当输入信号a出现下降沿时为1,其余时刻为0

参考代码:

`timescale 1ns/1ns
module edge_detect(
	input clk,
	input rst_n,
	input a,
	
	output wire rise,
	output wire down
);
    reg a1,a2;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                begin
                    a1<='b0;
                    a2<='b0;
                end
            else 
                begin
                    a1<=a;
                    a2<=a1;
                end
        end
    assign rise = ((a1 & !a2)===1);
    assign down = ((!a1 & a2)===1);

endmodule

总结:小白跟大牛都在用的平台

  • 硬件工程师近年来也开始慢慢吃香,校招进大厂年薪总包不下30-40w的人数一大把!而且大厂人数并没有饱和!
    - 本期是【Verilog刷题篇】硬件工程师从0到入门3|组合逻辑复习+时序逻辑入门,有不懂的地方可以评论进行讨论!

快来点击链接进行跳转注册,开始你的保姆级刷题之路吧!刷题打怪码神之路

另外这里不仅仅可以刷题,你想要的这里都会有,十分适合小白和初学者入门学习~
1、算法篇(398题):面试必刷100题、算法入门、面试高频榜单
2、数据结构篇(300题):都是非常经典的链表、树、堆、栈、队列、动态规划等
3、语言篇(500题):C/C++、java、python入门算法练习
4、SQL篇(82题):快速入门、SQL必知必会、SQL进阶挑战、面试真题
5、大厂笔试真题:字节跳动、美团、百度、腾讯…掌握经验不在惧怕面试!

verilog 刷题,算法小记,fpga开发,面试,javascript文章来源地址https://www.toymoban.com/news/detail-777984.html

到了这里,关于【Verilog刷题篇】硬件工程师从0到入门3|组合逻辑复习+时序逻辑入门的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包赞助服务器费用

相关文章

  • 从零学verilog系列(4)组合逻辑电路设计方法

    从零学verilog系列(4)组合逻辑电路设计方法

      目录 1.组合电路设计方法 1.1真值表方式(本质是最小项表达式) 1.2逻辑表达式方式 1.3结构描述方式 1.4抽象描述方式(从电路功能出发) 2组合电路设计项目 2.1数字加法器 半加器(1位加法器) 全加器 串行进位加法器(行波进位加法器) 超前进位加法器 2.2数据比较器 2.3数据选

    2024年02月04日
    浏览(8)
  • 【FPGA】组合逻辑电路三种建模方式(Verilog HDL 门级建模、Verilog HDL 数据流建模、组合电路行为级建模)

    【FPGA】组合逻辑电路三种建模方式(Verilog HDL 门级建模、Verilog HDL 数据流建模、组合电路行为级建模)

    目录   Verilog HDL 门级建模 各种逻辑门的表示和使用 门级建模书写实例 Verilog HDL 数据流建模 数据流建模 数据流建模书写实例 组合电路行为级建模 always语句 条件语句 多路分支语句 循环语句 for while repeat forever 行为级建模示例   可以理解为对逻辑电路中各个门依次进行描述

    2024年04月13日
    浏览(27)
  • 【FPGA】Verilog:组合逻辑电路应用 | 数码管 | 8421BCD编码 | 转换七段数码管段码

    【FPGA】Verilog:组合逻辑电路应用 | 数码管 | 8421BCD编码 | 转换七段数码管段码

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:数码管的使用 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Switch :

    2024年02月03日
    浏览(14)
  • 【FPGA】Verilog:模块化组合逻辑电路设计 | 半加器 | 全加器 | 串行加法器 | 子模块 | 主模块

    【FPGA】Verilog:模块化组合逻辑电路设计 | 半加器 | 全加器 | 串行加法器 | 子模块 | 主模块

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:加法器   ​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Switch :

    2024年02月15日
    浏览(12)
  • FPGA硬件工程师Verilog面试题(基础篇一)

    FPGA硬件工程师Verilog面试题(基础篇一)

    ✅作者简介:大家好我是:嵌入式基地,是一名嵌入式工程师,希望一起努力,一起进步! 📃个人主页:嵌入式基地 🔥系列专栏:FPGA Verilog 习题专栏 微信公众号:嵌入式基地 点击进行在线练习 描述 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换:

    2023年04月26日
    浏览(15)
  • 硬件系统工程师宝典(36)-----盘点常用的总线和常见的逻辑电平

    硬件系统工程师宝典(36)-----盘点常用的总线和常见的逻辑电平

    各位同学大家好,欢迎继续做客电子工程学习圈,今天我们继续来讲这本书,硬件系统工程师宝典。 上篇我们了解了SDRAM的存储技术以及SDRAM的发展历程,技术的进步推动着产品的升级,也加速了整个电子行业的发展。今天我们来讲一讲一些常用的总线及逻辑电平。 I2C总线是

    2024年02月13日
    浏览(11)
  • 【刷题篇】栈和队列

    【刷题篇】栈和队列

    目录 一.前言🌈 二.有效的括号✨ a.题目 b.题解分析 c.AC代码  三. 用队列实现栈📏 a.题目 b.题解分析(辅助队列法) c.AC代码(辅助队列法) d.题解分析(就地存储法) c.AC代码(就地存储法) 四. 用栈实现队列🍀 a.题目 b.题解分析 c.AC代码         各位小友们好久不见,甚

    2024年02月02日
    浏览(13)
  • 蓝桥杯刷题篇①

    蓝桥杯刷题篇①

    前言:hello各位童学们好呀!许久不见!本文为本人的蓝桥杯OJ的刷题笔记!文章隶属于专栏蓝桥杯,该专栏的目的是为了记录自己的刷题记录和学习过程,激励自己不断前行,为明年的ACM、ICPC、蓝桥杯等比赛做足准备,也希望可以帮助到一些同样在刷题道路上的小伙伴们!

    2024年02月09日
    浏览(14)
  • 【刷题篇】贪心算法(一)

    【刷题篇】贪心算法(一)

    假设1元、2元、5元、10元、20元、50元、100元的纸币分别由c0,c1,c2,c3,c4,c5,c6张。现在要用这些钱来支付K元,至少要用多少张纸币?

    2024年02月09日
    浏览(13)
  • 【刷题篇】链表(下)

    【刷题篇】链表(下)

    各位读者们好,本期我们来填填之前留下的坑,继续来讲解几道和链表相关的OJ题。但和上期单向链表不一样的是,我们今天的题目主要是于环形链表有关,下面让我们一起看看吧。 💻本期的题目有: 环形链表 、 环形链表II 、 求环形链表环长 a.题目 b.题解分析 第一种方法

    2024年01月25日
    浏览(16)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包