FPGA之IOBUF功能解释小结

这篇具有很好参考价值的文章主要介绍了FPGA之IOBUF功能解释小结。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一、序言

二、IOBUF 例化

三、IOBUF verilog实现

四、IOBUF 综合结果


一、序言

        在verilog设计中,通常端口使用更多的申明为input,output,即输入到FPGA内部,以及FPGA输出到外部,都是单向传输,对于双向传输的场景需要使用到inout类型。

二、IOBUF 例化

        在xilinx中例化使用双向端口是使用IOBUF,IOBUF定义如下,可看到有四个pin,O、I、IO、T,其中T为控制端口,控制IO为输入或输出

   IOBUF #(
      .IS_CCIO("FALSE") 
   )
   IOBUF_inst (
      .O(O),   // 1-bit output: Buffer output
      .I(I),   // 1-bit input: Buffer input
      .IO(IO), // 1-bit inout: Buffer inout (connect directly to top-level port)
      .T(T)    // 1-bit input: 3-state enable input
   );

三、IOBUF verilog实现

 module iobuf
 2     (   inout   io,
 4         input   t,
 5         input   in,    
 6         output  out);
 8 
 9     assign out=t?io:in;        
10     assign io=~t?in:1'bz;
11     
12 endmodule

四、IOBUF 综合结果

在vivado查看Synthesize后的模型,如下图,其中io是直接连接到IOBUF_inst的pin:IO上,因为为双向传输的,中间未插入IBUF或OBUF。

iobuf使用,FPGA所知所见所解,fpga开发,IOBUF

关键点理解,io端口为直接连接FPGA与外部芯片。通过verilog实现代码可看出,对于t信号为1时,输出o=io,此时即外部信号通过io端口输入到FPGA内部,对于t信号为0时,io=in,即FPGA内部信号通过io端口。因此,通过控制信号t控制io端口的数据流向文章来源地址https://www.toymoban.com/news/detail-536405.html

到了这里,关于FPGA之IOBUF功能解释小结的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 香山处理器跑仿真和跑FPGA两套环境配置过程小结

    ============================================ 裸机ubuntu18.04上运行香山处理器(南湖)make verilog ============================================ system program problem detected - sudo vi /etc/default/apport sudo apt install tree git cmake curl sudo apt install bison flex  sudo apt install verilator sudo apt install default-jre sudo sh -c \\\"curl -L

    2024年02月14日
    浏览(18)
  • 学习Prompt之从一无所知到微微一笑

    技术先知们越来越频繁地提及prompt这个词语,究竟什么是prompt,我用一种很急切的心情去挖掘prompt这个词的含义,生怕落后了就被淘汰。 prompt狭义的解释是: Prompt是一种人工智能模型API,由OpenAI开发。它可以用于生成文本和回答问题,可以为开发者提供快速、高效的解决方

    2024年02月14日
    浏览(5)
  • 关闭笔记本自带键盘功能的方法小结

    目录 引言 1. 从电脑设备驱动入手? 1.1 点击我的电脑右键,打开管理: 1.2 点击设备管理器,找到键盘后双击PS/2标准键盘(或右击打开属性):  1.3  在属性表中找到驱动程序,点击禁用设备: 2. 通过命令行进行禁用键盘功能 2.1 按Win+R(键盘),打开cmd: 2.2 输入下面的指令并

    2024年02月05日
    浏览(37)
  • EDA、PLD、FPGA等名词解释

    加*为常考: *EDA:(electronic design automation)电子设计自动化 *HDL:(hard description language)硬件描述语言 ASIC:(application specific intergrated circuit)专用集成电路 *FPGA:(field programmable gate array)现场可编程逻辑门阵列 *PLD:(programmable logic device)可,编程逻辑器件  *CPLD:(complex pr

    2024年02月06日
    浏览(28)
  • 【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能

            1.首先需要用一个数字IO的输入FPGA端口,并将其拖入程序框图中,同时创建一个循环。                  2.如果想要在循环中实现累加功能,就可以使用移位寄存器。         数字输入的当前值和历史值进行比较,用于一个判断大于,来确定是否出现了上升沿

    2024年01月20日
    浏览(28)
  • 白话微机:8.解释FPGA以及一些考研面试问题

            在“微机世界”, 普通的城市 ( 单片机 )里, 人 又有一个别的名字叫做“ 数据 ”,人有0有1;人们也有住房,这些 住房 在这个世界叫做“ 存储器 ”;地上有路,这些 路 叫做“ 数据总线 ”, 交通系统 则统称为 总线 ;这里也有 行政部门 ,比如公安局之类的,又

    2024年02月22日
    浏览(23)
  • 详细接口和使用说明的FPGA IP实现VGA转HDMI功能

    FPGA实现VGA转HDMI功能的IP,配详细的接口和使用说明 ID:3440 718008093072 木若君熙 标题: FPGA实现VGA转HDMI功能的IP及其详细接口和使用说明 摘要: 本文针对FPGA(Field-Programmable Gate Array)实现VGA转HDMI功能的IP进行了详细的分析与说明。首先介绍了FPGA的基本原理和应用领域,然后详细介

    2024年04月25日
    浏览(14)
  • 所见即所得的3D打印建模设计

    3D打印机安装好后,需要的软件环境: 1. Cura 这类切片软件; 用于将STL等模型文件转换成3D打印的执行指令集,其实就是G-CODE的组合,有些还支持在线调试。  Simplify3D https://download.csdn.net/download/pocean2012/87085682  ideaMaker https://download.csdn.net/download/pocean2012/87085695 Ultimaker-cura  链

    2024年02月07日
    浏览(35)
  • GPT所想即所见的快速解惑

    这是学习笔记的第 2464篇文章 在很多年前,自己脑袋里面冒出来这样的一段文字, 黑暗中一个孩子站在田野上看着另外一个孩子 就好像自己在看自己。 说是感慨也好,伤感也罢。那种画面感是一直难以名状的,最近试了下GPT,给我了一些灵感和部分答案。 画一幅画,黑暗

    2024年02月16日
    浏览(18)
  • Modbus通讯协议常用功能码解释

     Modbus是一种单主站的主/从通讯模式。Modbus网络上只有一个主站,主站在Modbus网络上没有地址,从站的地址范围为0-247,其中0为广播地址,从站的实际地址范围为1-247。 代码 名称 作用 01 读取线圈状态 取得一组逻辑线圈的当前状态(ON/OFF) 02 读取输入状态 取得一组开关输入

    2023年04月08日
    浏览(17)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包