什么是EDA?常见的EDA工具有哪些?

这篇具有很好参考价值的文章主要介绍了什么是EDA?常见的EDA工具有哪些?。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

大家都知道,芯片设计难度非常大,要把上千亿颗晶体管集成在面积不到指甲盖大小的芯片上。其实能实现这样目标所以靠的是电子设计自动化(Electronics Design Automation)工具,也就是我们所称的EDA工具。

什么是EDA?

EDA是电子设计自动化(Electronics Design Automation)的缩写,在半导体行业中,属于上游产业块-设计的一个子行业。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA 被行业内称为“芯片之母”,是芯片 IC 设计中不可或缺的重要部分,属于芯片制造的上游产业,涵盖集成电路设计、布线、验证和仿真等所有流程。

eda工具,fpga开发,人工智能

EDA工具发展

DEA工具的好坏,对于芯片的性能功耗和面积有决定性的影响。同样一段代码,不同的工具会做出截然不同的芯片,EDA的发展也不是一成而就的,而是经历了四个主要的阶段,分别是计算机辅助设计,计算机辅助工程,传统EDA, AI加持的EDA。

在上世纪70年代的中期,人们就开始使用计算机来辅助芯片的晶体管版图设计,PCB电路板的布局布线,设计规则检查等等这些相对简单的工作。到80年代卡菲尔米德和林康维发表了《超大规模集成电路系统导论》,提出来使用编程语言来进行芯片设计的的思想,这个也称为了EDA发展的重要标志。

在1986年和1987年,Verilog, VHDL这两种硬件描述语言诞生,几乎在同一时间,Aart de Geus博士发明了自动逻辑综合技术,来取代手动的设计工程,并且在1986年联合创立了Synopsys新思科技,这也标志着EDA工具开始走向了商业化。

从90年代到21世纪初期,在摩尔定律的指引下,晶体管的密度越来越高,设计流程也越来越复杂,EDA工具称为了芯片设计的必选项,也促进了EDA工具的进步,前面说的综合仿真,布局布线技术等都在这个时期得到了飞速的发展,这些EDA工具也缩短了研发周期,提升了设计效率,从而反过来去促进了芯片产业的技术革新,形成了正向反馈的螺旋式上升。

常见EDA工具有哪些?

EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。

目前进入我国并具有广泛影响的EDA软件是系统设计辅助类软件和可编程芯片辅助设计软件:Protel、AlTIum Designer、、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab等等。

这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

EDA的重要性

目前,集成电路产业链主要包括上游支撑层、中游制造层及下游应用层等:

(1)产业链上游为支撑层,主要包括技术服务商、软件供应商、材料及设备供应商等。其中,技术服务商针对集成电路设计、生产、测试、封装及技术研发等环节提供各类模块化/专业化技术服务;软件供应商主要从事设计工具开发、销售和服务;材料及设备供应商提供集成电路设计和制造全过程所需的硅片、光刻胶、掩模版等原材料,以及硅片制造、晶圆制造、封测等专用设备。

(2)产业链中游为制造层,主要包括集成电路设计、生产、封装和测试企业。其中,集成电路设计企业通过对集成电路系统、逻辑、电路和性能的研究设计,最终转化为物理设计版图;集成电路生产企业负责晶圆生产,利用设计版图制作光掩模版,并以多次光刻的方法将电路图形呈现于晶圆上,最终在晶圆表面/内部形成立体电路;集成电路封装企业主要将加工完成的晶圆,进行切割、封塑和包装,以保护管芯并最终形成芯片产品;集成电路测试企业主要对芯片的可靠性、稳定性等进行检测。

(3)产业链下游包括各应用领域的系统厂商或制造商。该等企业最终将各类芯片成品集成于自身产品(如工业产品、消费电子产品、计算机相关产品、通信及周边产品)中并投入市场。EDA 属于集成电路产业链上游支撑层中的软件工具类,是整个集成电路产业的核心环节之一。目前,EDA 工具软件已广泛运用于产业链中游的设计、生产、封装、测试等环节。

需要学习资料的同学,可以私信留下正确的联系方式,老师会主动联系你发送学习资料!文章来源地址https://www.toymoban.com/news/detail-778218.html

到了这里,关于什么是EDA?常见的EDA工具有哪些?的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 实现十进制计数器EDA|FPGA

    实验内容 有限状态机设计:实现十进制计数器 实验目的 有限状态机设计:实现十进制计数器 软件流程(硬件连接) ①新建工程 ②创建半加器原理图 ③将设计项目设置成可调用的元件 ④尝试运行代码 ⑤新建文件输出代码的波形  四、代码  五、实验结果及分析  

    2024年02月03日
    浏览(19)
  • 【FPGA】EDA技术综合设计 交通灯设计

    1、设计原理 (1)对项目进行模块划分、对各模块的功能及其端口进行说明。 ①分频器部分 降低实验板固有频率,分出频率用来计数和数码管动态扫描。 ②状态机部分 0,1,2,3四个基础状态以及进一步完善后的检修和行人通行状态。 ③LED灯与数码管显示部分 LED灯模拟东西

    2024年02月12日
    浏览(11)
  • EDA实验(Quartus Ⅱ+fpga) (四)---交通灯设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (一)实验目的 (1)熟悉交通灯控制器的工作原理; (2)了解设计中的优化方案; (3)进一步掌握状态机的设计; (4)学习较复杂数字系

    2024年02月02日
    浏览(26)
  • 【数字IC精品文章收录】近500篇文章|学习路线|基础知识|接口|总线|脚本语言|芯片求职|安全|EDA|工具|低功耗设计|Verilog|低功耗|STA|设计|验证|FPGA|架构|AMBA|书籍|

    1.1 索引目的 本篇索引旨在 收藏CSDN全站中有关数字IC领域高价值文章 ,在数字芯片领域中,就算将架构,设计,验证,DFT,后端诸多岗位加在一起的数量,都不及软件类一个细分方向的岗位数量多,反映在社区氛围或是开源资料的丰富度而言,数字IC领域相较于软件/互联网领

    2024年02月03日
    浏览(25)
  • EDA实验(Quartus Ⅱ+fpga) (五)---多功能数字钟设计

    本实验代码为初学FPGA所写,逻辑不太清晰,请跳往下面网址查看最新的模块化设计数字钟,更易看懂 模块化多功能数字钟设计 前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (1)了解数字钟的

    2024年02月06日
    浏览(55)
  • EDA开源仿真工具verilator入门1:安装和测试

    Verilator是一种开源的Verilog/SystemVerilog仿真器,可用于编译代码以及代码在线检查,Verilator能够读取Verilog或者SystemVerilog文件,并进行lint checks(基于lint工具的语法检测),并最终将其转换成C++的源文件.cpp和.h。 Verilator不直接将Verilog HDL转换为C++或者SystemC,反之Verilator将代码编译

    2024年01月19日
    浏览(11)
  • 【蓝桥杯EDA设计与开发】资料汇总以及立创EDA及PCB相关技术资料汇总(持续更新)

    [18/01/2024]: 目前为了准备蓝桥杯做一些资料贴,于是写下这一篇博客。 各种资料均来源于网络以及部分书籍、手册等文档,参考不保证其准确性。 如果在准备蓝桥杯,可与我私信共同学习!!!(2014年) 大部分的学习和参考资料都可在蓝桥云课上找到。 蓝桥杯大赛历届真

    2024年01月20日
    浏览(26)
  • 集成电路设计开源EDA软件yosys详解1:工具安装

    yosys为一套开源的针对verilog的rtl综合框架,从本节开始将详细介绍工具的使用,并详细对源代码进行分析和讲解,首先介绍一下工具的安装和使用。yosys的git网址为https://github.com/YosysHQ/yosys。 下面将介绍如何在ubuntu环境下安装,使用的环境是ubuntu16.0。 安装Tabby CAD Suite工具,网

    2023年04月25日
    浏览(16)
  • 【蓝桥杯EDA设计与开发】立创开源社区分享的关于蓝桥被EDA真题与仿真题的项目分析

    立创开源社区内有几个项目分享了往年 EDA 设计题目与仿真题,对此展开了学习。 【本人非科班出身,以下对项目的学习仅在我的眼界范围内发表意见,如有错误,请指正。】 来源:第十四届蓝桥杯EDA赛模拟题一 - 嘉立创EDA开源硬件平台 图 1-1 连线交叉点处,应避免出现黄色

    2024年01月20日
    浏览(23)
  • 【EDA课程设计】FPGA交通信号灯的设计(含动画视频、超详细思路/步骤分析、完整代码与效果详解)

    ✨你好啊,我是 “ 怪 ” ,是一名在校大学生哦。 🌍主页链接:怪的个人博客主页 ☀️博文主更方向为: 课程学习知识、作业题解、期末备考。 随着专业的深入会越来越广哦…一起期待。 ❤️一个“不想让我曾没有做好的也成为你的遗憾”的博主。 💪很高兴与你相遇,

    2023年04月08日
    浏览(28)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包